1
完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
我在设计中使用了部件xc7a200tifbv676-1L(Artix7)。 我正在使用Vivado 2017.1。在“路线设计”阶段我得到了警告.....完成了无矢量传播活动传播警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。 忽略电压设置...在日志消息窗口中,它显示为:[Designutils 20-266]家庭artix7的无效电压源VCCINTIO。 忽略电压设置。 以下约束(以及其他)在我的xdc文件中,但我不明白哪个可以负责.set_property INTERNAL_VREF 0.750 [get_iobanks 12] set_property INTERNAL_VREF 0.750 [get_iobanks 14] set_property IOSTANDARD LVCMOS33 [get_ports {slot *} set_property IOSTandard LVCMOS15 [get_ports {a7_rsvd *}] set_property IOSTANDARD LVCMOS33 [get_ports {a7_phy_ *}] set_property IOSTANDARD LVCMOS15 [get_ports {sf2_a7_ *}] set_property CONFIG_VOLTAGE 1.5 [current_design] set_property CFGBVS GND [current_design] 任何人都可以对此有所了解吗? 谢谢。 -------------------------------------------------- -------------------------------------------------- ---- FPGA爱好者!------------------------------------------- -------------------------------------------------- ----------- 以上来自于谷歌翻译 以下为原文 Hi, I am using the part xc7a200tifbv676-1L (Artix7) in a design. I am using Vivado 2017.1. During 'Route-Design' stage I get the warning... . . Finished Running Vector-less Activity Propagation WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. . . In the log Messages window it is displayed as: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting. These following constraints (among others) are in my xdc file, but I don't understand which one can be responsible. set_property INTERNAL_VREF 0.750 [get_iobanks 12] set_property INTERNAL_VREF 0.750 [get_iobanks 14] set_property IOSTANDARD LVCMOS33 [ get_ports {slot*} set_property IOSTANDARD LVCMOS15 [ get_ports {a7_rsvd* }] set_property IOSTANDARD LVCMOS33 [ get_ports {a7_phy_* } ] set_property IOSTANDARD LVCMOS15 [ get_ports {sf2_a7_* } ] set_property CONFIG_VOLTAGE 1.5 [current_design] set_property CFGBVS GND [current_design] Can anyone throw some light on this? Thanks. -------------------------------------------------------------------------------------------------------- FPGA enthusiast! -------------------------------------------------------------------------------------------------------- |
|
相关推荐
4个回答
|
|
它很可能是2017年的工具问题.1。7系列没有VCCINTIO。
CR已提交给开发团队进行调查。 请忽略警告。 -------------------------------------------------- -----------------------不要忘记回答,kudo,并接受为解决方案.------------- -------------------------------------------------- ---------- 以上来自于谷歌翻译 以下为原文 It's most likely a tool issue in 2017.1. There is no VCCINTIO for 7 Series. A CR has been submitted to dev team for investigation. Please ignore the warning. ------------------------------------------------------------------------- Don't forget to reply, kudo, and accept as solution. ------------------------------------------------------------------------- |
|
|
|
谢谢回复。
-------------------------------------------------- -------------------------------------------------- ---- FPGA爱好者!------------------------------------------- -------------------------------------------------- ----------- 以上来自于谷歌翻译 以下为原文 Thanks for the reply. -------------------------------------------------------------------------------------------------------- FPGA enthusiast! -------------------------------------------------------------------------------------------------------- |
|
|
|
|
|
|
|
此问题将在2017.3 Vivado版本中修复。
以上来自于谷歌翻译 以下为原文 This issue will be fixed in the 2017.3 Vivado release. |
|
|
|
只有小组成员才能发言,加入小组>>
2416 浏览 7 评论
2821 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2292 浏览 9 评论
3372 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2458 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1122浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
581浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
447浏览 1评论
2002浏览 0评论
725浏览 0评论
小黑屋| 手机版| Archiver| 德赢Vwin官网 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-21 22:56 , Processed in 1.176705 second(s), Total 52, Slave 46 queries .
Powered by 德赢Vwin官网 网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
德赢Vwin官网 观察
版权所有 © 湖南华秋数字科技有限公司
德赢Vwin官网 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号