1
完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
你好,
我目前面临以下问题: 我创建了一个处理外部IC接口的IP内核。 在为核心编写代码之后,我为该核心创建了一个XDC,其中写入了几个输入/输出延迟约束: 这是IP的XDC包含的内容: create_clock -period 16.667 -name FT_CLK -waveform {0.000 8.333} [get_ports FT_CLK] set_input_delay -clock [get_clocks FT_CLK] -min -add_delay 1.000 [get_ports {FT_PDB }] set_input_delay -clock [get_clocks FT_CLK] -max -add_delay 7.517 [get_ports {FT_PDB }] set_input_delay -clock [get_clocks FT_CLK] - min -add_delay 1.000 [get_ports FT_RXF] set_input_delay -clock [get_clocks FT_CLK] -max -add_delay 7.517 [get_ports FT_RXF] set_input_delay -clock [get_clocks FT_CLK] -min -add_delay 1.000 [get_ports FT_TXE] set_input_delay -clock [get_clocks FT_CLK] -max - add_delay 7.517 [get_ports FT_TXE] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports {FT_PDB }] set_output_delay -clock [get_clocks FT_CLK] -max -add_delay 8.000 [get_ports {FT_PDB }] set_output_delay - clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports FT_OE] set_output_delay -clock [get_clocks FT_CLK] -max -add_delay 8.000 [get_ports FT_OE] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports FT_RD] set_output_delay -clock [ get_clocks FT_CLK] -max -add_delay 8.000 [get_ports FT_RD] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports FT_WR] set_output_delay -clock [get_clocks FT_CLK] -max -add_delay 8.000 [get_ports FT_WR] 在打包核心之后,我创建了另一个项目(测试项目),其中添加了代码以及Microblaze和一些外围设备。 运行实现后出现问题,当我收到以下严重警告消息时,XDC中描述的每个信号都会出现每对错误,但FT_PDB 除外,这是双向的,因此这会增加大约20条消息 : [约束18-602] set_input_delay:list包含类型'(pin)'的'1'对象,而不是约束支持的类型'(输入端口,内部引脚)'。 这些对象不会用于此约束。 请查看对象列表,确保删除不支持的对象类型。 [ “d:/ VIVADO_PRJ /.../ constrs_1 /新/ timing.xdc”:6] [约束18-472] set_input_delay:list不包含约束支持的任何类型的对象(输入端口,内部引脚)。 不会应用约束。 请检查以确保这是预期的。 [ “d:/ VIVADO_PRJ /.../ constrs_1 /新/ timing.xdc”:6] 仅在此配置中遇到此情况。 如果我直接在测试项目中添加XDC,则没有错误消息。 此外,如果我在Tcl控制台中手动输入行,则会接受并应用它们。 我相信要么涉及到一个错误,因为并非所有的信号都会受到影响,或者有一些我没有做的事情,无论我到处寻找答案,到目前为止我都没有发现任何错误。 感谢您的帮助, 的Sergiu |
|
相关推荐
7个回答
|
|
你好@ sergiuutcn
是的,可以使用prop_thru_buffers。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) 在原帖中查看解决方案 |
|
|
|
你好@ sergiuutcn
请打开顶级项目的综合设计,检查约束中提到的IP引脚是否直接连接到顶级端口。 预计这些IP引脚在设计中直接连接到IBUF / OBUF。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
嗨,
我附上了一张显示端口的图片。 信号似乎在设计的引脚和IBUF / OBUF实例之间具有不同的名称(FT_PDB除外,它直接连接)但是,我希望应用的约束应该在IBUF / OBUF之后以端口为目标。 你能告诉我怎么做吗? 我可以在XDC中写下的名字后添加“*”,但我担心这可能会导致更多问题。 的Sergiu |
|
|
|
你好@ sergiuutcn
我想我在这里理解这个问题。 请参阅http://www.xilinx.com/support/documentation/sw_manuals/xilinx2016_1/ug903-vivado-using-constraints.pdf-第66,67页。 如果要在IP XDC文件中具有set_input_delay / set_output_delay约束(可以仅应用于顶级端口),则需要在IP内实例化IO缓冲区。 请尝试在IP HDL中实例化IO缓冲区,看看它是否有帮助。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
你好,谢谢你,
我现在明白了问题所在。 但是我宁愿不在IP中实例化缓冲区,因为我不想影响核心的可移植性。 你认为使用“-prop_thru_buffers”会是一个很好的解决方案吗? 我已在所有信号上使用此选项,如下所示,它似乎可以修复错误: set_input_delay -clock [get_clocks FT_CLK] -min -add_delay 1.000 [get_ports -prop_thru_buffers {FT_PDB get_clocks FT_CLK] -min -add_delay 1.000 [get_ports -prop_thru_buffers FT_RXF] set_input_delay -clock [get_clocks FT_CLK] -max -add_delay 7.517 [get_ports -prop_thru_buffers FT_RXF] set_input_delay -clock [get_clocks FT_CLK] -min -add_delay 1.000 [get_ports -prop_thru_buffers FT_TXE] set_input_delay -clock [get_clocks FT_CLK] -max -add_delay 7.517 [get_ports -prop_thru_buffers FT_TXE] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports -prop_thru_buffers {FT_PDB -add_delay 8.000 [get_ports -prop_thru_buffers {FT_PDB 8.000 [get_ports -prop_thru_buffers FT_OE] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports -prop_thru_buffers FT_RD] set_output_delay -clock [get_clocks FT_CLK] -max -add_delay 8.000 [get_ports -prop_thru_buffers FT_RD] set_output_delay -clock [get_clocks FT_CLK] -min -add_delay 0.000 [get_ports -prop_thru_buffers FT_WR] set_output_delay -clock [get_clocks FT_CLK] -max -add_delay 8.000 [get_ports -prop_thru_buffers FT_WR] |
|
|
|
你好@ sergiuutcn
是的,可以使用prop_thru_buffers。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
非常感谢你。
|
|
|
|
只有小组成员才能发言,加入小组>>
2420 浏览 7 评论
2823 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2294 浏览 9 评论
3374 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2461 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1157浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
584浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
450浏览 1评论
2005浏览 0评论
729浏览 0评论
小黑屋| 手机版| Archiver| 德赢Vwin官网 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-23 03:52 , Processed in 1.539576 second(s), Total 88, Slave 72 queries .
Powered by 德赢Vwin官网 网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
德赢Vwin官网 观察
版权所有 © 湖南华秋数字科技有限公司
德赢Vwin官网 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号