1
完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
我尝试使用KC705来实现以下时钟Mux功能。 CLK_P / CLK_N是KC705 200MHz参考时钟。 但它总是报告FPGA实现过程中的错误。 “BUFG级联错误”。 您想给我一些建议如何通过KC705实现以下时钟多路复用功能吗? 谢谢, 可能 |
|
相关推荐
8个回答
|
|
嗨,开放式综合设计并观察CLK_P / CLK_N的连接性。我怀疑综合工具是在这些网上添加BUFG。
如果在综合网表中找到2个BUFG,请删除在RTL中实例化的BUFG。 谢谢,维杰----------------------------------------------- ---------------------------------------------请将帖子标记为 一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。 |
|
|
|
HI May,您只能使用相邻的BUFG进行级联。您附加的图像已损坏。
你能否提供一个错误的捕获。解答,克里希纳 -------------------------------------------------- ---------------------------------------------请将帖子标记为 如果提供的信息能够回答您的问题/解决您的问题,请“接受为解决方案”。给予您认为有用的帖子。 |
|
|
|
|
|
|
|
|
|
|
|
你在BUFG上应用LOC约束了吗?
如果没有,请尝试在相邻位置找到BUFG。你可以参考UG472的级联规则细节.Regards,Krishna -------------------------------------------------- ---------------------------------------------请将帖子标记为 如果提供的信息能够回答您的问题/解决您的问题,请“接受为解决方案”。给予您认为有用的帖子。 |
|
|
|
嗨,
我做了一个小测试用例并将它附在这里。 问候, 克里希纳 -------------------------------------------------- ---------------------------------------------请将帖子标记为 如果提供的信息能够回答您的问题/解决您的问题,请“接受为解决方案”。给予您认为有用的帖子。 project_15.zip 365 KB |
|
|
|
嗨克里希纳,
非常感谢!,是的,您的测试示例有效。 但我再次检查我的设计,我昨天在CLOCK MUX功能图中犯了一个错误。 实际上我需要4个输出时钟,我修改了时钟多路复用功能图并修改你的例子并试一试。 但它不起作用,Vivado报告以下错误, 您想就当前问题提出更多建议吗? ===== Vivado报告错误 [放置30-120] BUFG-BUFG级联对的次优放置。 如果此子设计可接受此子优化条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为WARNING并允许您的设计继续。 但是,强烈建议不要使用此覆盖,因为它可能导致非常差的时序结果。 建议在设计中纠正此错误情况。 下面列出了此时钟放置规则中使用的所有CELL.NET的列表。 这些示例可以直接在.xdc文件中使用,以覆盖此时钟规则。 BUFGMUX2(BUFGCTRL.O)由clockplacer临时放置在BUFGCTRL_X0Y2上BUFGMUX3暂时放置BUFGMUX3(BUFGCTRL.I1)BUFGCTRL_X0Y1临时放置BUFGMUX4(BUFGCTRL.I1)由BUFGCTRL_X0Y15临时放置 上述错误可能与其他连接的实例有关。 以下是所有相关时钟规则及其各自实例的列表。 时钟规则:rule_cascaded_bufgStatus:PASS规则说明:级联bufg(bufg-> bufg)必须相邻且BUFGCTRL_X0Y0暂时将BUFGMUX1(BUFGCTRL.O)暂时置于BUFGCTRL_X0上暂停BUFGMUX3(BUFGCTRL.I0)BUFGCTRL_X0Y1 BUFGMUX4临时放置BUFGMUX3(BUFGCTRL.I0) BUFGCTRL.I0)由clockplacer临时放置在BUFGCTRL_X0Y15上 时钟规则:rule_mmcm_bufgStatus:PASS规则说明:驱动BUFG的MMCM必须放置在器件的同一半侧(顶部/底部)MMCM(MMCME2_ADV.CLKFBOUT)暂时由clockMCcer放置在MMCME2_ADV_X1Y1上XLXI_4(BUFG.I)是 由clockplacer临时放置在BUFGCTRL_X0Y3上 时钟规则:rule_mmcm_bufgStatus:PASS规则说明:驱动BUFG的MMCM必须放置在器件的同一半侧(顶部/底部)MMCM(MMCME2_ADV.CLKOUT0)暂时由clockMCcer放置在MMCME2_ADV_X1Y1上BUFGMUX1(BUFGCTRL.I0)是 由clockplacer临时放置在BUFGCTRL_X0Y0上 时钟规则:rule_mmcm_bufgStatus:PASS规则说明:驱动BUFG的MMCM必须放置在设备的同一半侧(顶部/底部)MMCM(MMCME2_ADV.CLKOUT1)暂时由clockMCcer放置在MMCME2_ADV_X1Y1上BUFGMUX1(BUFGCTRL.I1)是 由clockplacer临时放置在BUFGCTRL_X0Y0上 时钟规则:rule_mmcm_bufgStatus:PASS规则说明:驱动BUFG的MMCM必须放置在器件的同一半侧(顶部/底部)MMCM(MMCME2_ADV.CLKOUT2)暂时由clockMCcer放置在MMCME2_ADV_X1Y1上BUFGMUX2(BUFGCTRL.I0)是 由clockplacer临时放置在BUFGCTRL_X0Y2上 时钟规则:rule_mmcm_bufgStatus:PASS规则说明:驱动BUFG的MMCM必须放置在器件的同一半侧(顶部/底部)MMCM(MMCME2_ADV.CLKOUT3)暂时由clockMCcer放置在MMCME2_ADV_X1Y1上BUFGMUX2(BUFGCTRL.I1)是 由clockplacer临时放置在BUFGCTRL_X0Y2上 时钟规则:rule_gclkio_mmcm_1loadStatus:PASS规则说明:如果未设置CLOCK_DEDICATED_ROUTE = BACKBONE,则驱动单个MMCM的IOB必须位于同一时钟区域中XLXI_3(IBUFDS.O)被锁定到IOB_X1Y76并且MMCM(MMCME2_ADV.CLKIN1)暂时放置在 MMCME2_ADV_X1Y1上的clockplacer 谢谢, 可能 KC705_BUGFMUX.docx 23 KB test.v 4 KB |
|
|
|
你想用这些时钟做什么?
这种时钟多路复用远远超出了时钟多路复用器的“正常”使用范围。 BUFG之间的“内置”连接将不允许您这样做,因此您必须使用结构路由从一个BUFGMUX到下一个BUFGMUX。 这将导致大的延迟损失,并且将依赖于高度过程/电压/温度。 此外,不同的时钟不会相位匹配。 值得注意的是,时钟A和B不会与时钟C和D相位匹配。当其多路复用器选择时钟A时,以时钟C为例。现在,aclk和cclk将是“相同”的时钟,所以你可能会认为 你可以同步地在它们之间交叉,但是你不能(至少在所有情况下都不是) - 通过第二个BUFGMUX产生cclk的额外延迟是显着的,并且(在高频率下)可能是足够大的百分比 时钟周期使交叉不可能。 那么,你为什么不告诉我们你要解决的问题 - 也许有一个比试图将所有这些时钟复用在一起更好的解决方案。 Avrum |
|
|
|
只有小组成员才能发言,加入小组>>
2416 浏览 7 评论
2821 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2292 浏览 9 评论
3372 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2458 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1120浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
581浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
447浏览 1评论
2002浏览 0评论
725浏览 0评论
小黑屋| 手机版| Archiver| 德赢Vwin官网 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-21 18:29 , Processed in 1.503471 second(s), Total 89, Slave 73 queries .
Powered by 德赢Vwin官网 网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
德赢Vwin官网 观察
版权所有 © 湖南华秋数字科技有限公司
德赢Vwin官网 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号