大家好,
第一次使用KC705板。
这些约束与ISE中的约束完全不同。
我正在尝试使用时钟和重置来构建一个简单的项目。
根据Vivado中的示例,我将以下约束写入.xdc文件:
create_clock -period 5.000 -name sysClk -waveform {0.000 2.500} [get_ports sysClk] set_property PACKAGE_PIN AB11 [get_ports sysClk] set_property IOSTANDARD LVCMOS18 [get_ports sysClk] set_property PACKAGE_PIN W9 [get_ports reset] set_property IOSTANDARD LVCMOS18 [get_ports reset]
然后我收到了警告:
[Common 17-69]命令失败:'AB11'不是有效的站点或包引脚名称。
[“C:/Users/we/my/my.srcs/constrs_1/new/myconst.xdc”:2] [Common 17-69]命令失败:'W9'不是有效的站点或包引脚名称。
[ “C:/Users/we/my/my.srcs/constrs_1/new/myconst.xdc”:4]
虽然我试图使用user_clock_p,但是由于io标准,位生成过程失败了。
我之前检查过ug810,但没有一个时钟:
#CLOCKS#SYSCLKset_property PACKAGE_PIN AD11 [get_ports SYSCLK_N] set_property IOSTANDARD LVDS [get_ports SYSCLK_N] set_property PACKAGE_PIN AD12 [get_ports SYSCLK_P] set_property IOSTANDARD LVDS [get_ports SYSCLK_P] #USERCLKset_property PACKAGE_PIN K29 [get_ports USER_CLOCK_N] set_property IOSTANDARD LVDS_25 [get_ports USER_CLOCK_N] set_property PACKAGE_PIN K28 [
get_ports USER_CLOCK_P] set_property IOSTANDARD LVDS_25 [get_ports USER_CLOCK_P] #USER SMA CLOCKset_property PACKAGE_PIN K25 [get_ports USER_SMA_CLOCK_N] set_property IOSTANDARD LVDS_25 [get_ports USER_SMA_CLOCK_N] set_property PACKAGE_PIN L25 [get_ports USER_SMA_CLOCK_P] set_property IOSTANDARD LVDS_25 [get_ports USER_SMA_CLOCK_P]#SI5326
我现在对这个问题感到困惑。
我应该使用哪个/例子?
谢谢。
0