1
完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
本帖最后由 update 于 2016-5-11 20:28 编辑
用VHDL写了一个半加器,利用quartus ii产生的Testbench模板,然后在模板上添加代码,程序在编译能通过,然后在Modelsim里仿真运行,就是不能出波形,个人觉得是程序的事,但是就是找不出错误所在,请大家帮忙看看。。 以下是半加器源程序: library ieee; use ieee.std_logic_1164.all; entity half_adder is port(A : in std_logic; B : in std_logic; S : out std_logic; CO: out std_logic ); end entity half_adder; architecture bhv of half_adder is begin S <= A xor B;--异或 CO<= A and B;--与 end architecture bhv; 以下Testbench 源程序: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY half_adder_vhd_tst IS END half_adder_vhd_tst; ARCHITECTURE half_adder_arch OF half_adder_vhd_tst IS SIGNAL A : STD_LOGIC; SIGNAL B : STD_LOGIC; SIGNAL CO : STD_LOGIC; SIGNAL S : STD_LOGIC; COMPONENT half_adder PORT ( A : IN STD_LOGIC; B : IN STD_LOGIC; CO : OUT STD_LOGIC; S : OUT STD_LOGIC ); END COMPONENT; BEGIN i1 : half_adder PORT MAP ( A => A, B => B, CO => CO, S => S ); init : PROCESS BEGIN A <= '0' , '1' after 200 ns , '0' after 200 ns ; WAIT; END PROCESS init; always : PROCESS BEGIN B <= '0' , '1' after 100 ns , '0' after 200 ns ; WAIT; END PROCESS always; END half_adder_arch; |
|
相关推荐
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1367 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1046 浏览 0 评论
2442 浏览 1 评论
2146 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
2408 浏览 0 评论
1900 浏览 50 评论
6018 浏览 113 评论
小黑屋| 手机版| Archiver| 德赢Vwin官网 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-24 03:29 , Processed in 0.669122 second(s), Total 37, Slave 30 queries .
Powered by 德赢Vwin官网 网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
德赢Vwin官网 观察
版权所有 © 湖南华秋数字科技有限公司
德赢Vwin官网 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号