0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA设计:4位计数器代码及仿真程序

fpga加油站 来源:fpga加油站 2023-05-24 09:22 次阅读

大家好!今天给大家分享的是4位计数器的代码以及仿真程序。

4位计数器代码:

module count4(out,reset,clk); output [3:0] out; input reset,clk; reg [3:0] out; always @( posedge clk) begin if (reset) out<=0; // 同步复位 else out<=out+1; // 计数 end endmodule

4位计数器的仿真程序:

`timescale 1ns/1ns `include " count4.v " module coun4_tp; reg clk,reset; // 测试输入信号定义为 reg 型 wire [3:0] out; // 测试输出信号定义为 wire 型 parameter DELY=100; count4 mycount(out,reset,clk); // 调用测试对象 always #(DELY/2) clk = ~clk; // 产生时钟波形 initial begin // 激励信号定义 clk =0; reset=0; #DELY reset=1; #DELY reset=0; #(DELY*20) $finish; end // 定义结果显示格式 initial $monitor($time,,,"clk=%d reset=%d out=%d", clk, reset,out); endmodule

modelsim仿真结果:

3ca80480-f976-11ed-90ce-dac502259ad0.png

3ccbf3f4-f976-11ed-90ce-dac502259ad0.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表德赢Vwin官网 网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1620

    文章

    21471

    浏览量

    597976
  • 仿真
    +关注

    关注

    50

    文章

    3939

    浏览量

    132820
  • 计数器
    +关注

    关注

    32

    文章

    2229

    浏览量

    93823
  • 程序
    +关注

    关注

    114

    文章

    3688

    浏览量

    80211
  • 代码
    +关注

    关注

    30

    文章

    4637

    浏览量

    67626

原文标题:4位计数器代码及仿真程序

文章出处:【微信号:fpga加油站,微信公众号:fpga加油站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    用于4计数器的SDK C程序怎么写

    嗨好朋友,我正在研究 4 计数器我已经创建了IP以及框图设计。现在停止使用SDK c 程序启动硬件。这是我在HLS中的反击#include #include“ap_int.h”#defin
    发表于05-19 12:18

    1602液晶的6计数器程序

    ;******************************;1602液晶6 计数器:本 程序调试通过 *;************************************;* 硬件:此
    发表于04-21 22:44 57次下载

    4十进制可逆计数器电路

    使用一片ICM7217A配 4只共阴极LED数码管,可构成 4 十进制可逆 计数器,其
    发表于12-10 13:55 7453次阅读
    <b class='flag-5'>4</b><b class='flag-5'>位</b>十进制可逆<b class='flag-5'>计数器</b>电路

    基于FPGA的PWM计数器改进设计

    简单改变 FPGA 计数器规格使作为DAC功能PWM 计数器的纹波降低。
    发表于04-06 11:11 1907次阅读
    基于<b class='flag-5'>FPGA</b>的PWM<b class='flag-5'>计数器</b>改进设计

    基于Multisim的计数器设计仿真

    计数器是常用的时序逻辑电路器件,文中介绍了以四 同步二进制集成 计数器74LS161和异步二-五-十模值 计数器74LS290为主要芯片,设计实现了任意模值
    发表于07-26 11:38 134次下载
    基于Multisim的<b class='flag-5'>计数器</b>设计<b class='flag-5'>仿真</b>

    基于Proteus的任意进制计数器设计与仿真

    提出一种基于Proteus 软件的任意进制 计数器的设计。以74LS163 集成 计数器为基础,用置数法设计了两种48 进制 计数器,采用Proteus 软件对 计数器进行
    发表于07-29 18:53 0次下载

    计数器74LS161的Multisim仿真

    本文主要介绍了 计数器74LS161的Multisim 仿真。74LS161是具有异步置零、 计数、预置数和保持功能的可编程集成中规模同步 4 二进
    发表于01-17 18:19 6.5w次阅读
    <b class='flag-5'>计数器</b>74LS161的Multisim<b class='flag-5'>仿真</b>

    上百个Verilog HDL的程序设计实例代码合集免费下载

    本文档的主要内容详细介绍的是上百个Verilog HDL的 程序设计实例 代码合集免费下载包括了: 4 全加器, 4
    发表于08-02 17:11 71次下载
    上百个Verilog HDL的<b class='flag-5'>程序</b>设计实例<b class='flag-5'>代码</b>合集免费下载

    计数器程序和电路图及仿真等资料合集免费下载

    本文档的主要内容详细介绍的是使用两个按键实现加一减一的四 计数器程序和电路原理图及 仿真等资料合集免费下载 。
    发表于09-09 08:00 2次下载
    四<b class='flag-5'>位</b><b class='flag-5'>计数器</b>的<b class='flag-5'>程序</b>和电路图及<b class='flag-5'>仿真</b>等资料合集免费下载

    基于单片机定时/计数器的时钟设计及计数设计

    / 计数器编程方法第四部分 定时 / 计数器 仿真设计设计案例一原理图动态 仿真结果 代码设计案例二原理图
    发表于11-05 09:06 51次下载
    基于单片机定时<b class='flag-5'>器</b>/<b class='flag-5'>计数器</b>的时钟设计及<b class='flag-5'>计数</b>设计

    multisim仿真计数器

    multisim 仿真 计数器资料分享
    发表于07-23 09:57 10次下载

    基于FPGA的十进制计数器

    本方案是一个基于 FPGA的十进制 计数器。共阳极 7 段显示 上的 0 到 9 十进制 计数器,硬件在 Xilinx Spartan 6 FPGA
    发表于12-20 14:52 2次下载

    基于ATmega8单片机基于T_CO的计数器设计Proteus仿真程序

    基于ATmega8单片机基于T_CO的 计数器设计Proteus 仿真程序
    发表于05-05 09:34 0次下载

    FPGA设计:4全加器代码以及仿真

    大家好!今天给大家分享的是 4 全加器的 代码以及 仿真 程序。俗话说:“千里之行,始于足下。”对于初学者来说, 加法器是
    的头像 发表于05-23 10:00 2922次阅读
    <b class='flag-5'>FPGA</b>设计:<b class='flag-5'>4</b><b class='flag-5'>位</b>全加器<b class='flag-5'>代码</b>以及<b class='flag-5'>仿真</b>

    同步4计数器数据表

    德赢Vwin官网 网站提供《同步 4 计数器数据表.pdf》资料免费下载
    发表于05-15 10:08 0次下载
    同步<b class='flag-5'>4</b><b class='flag-5'>位</b><b class='flag-5'>计数器</b>数据表